Lawrence A. (Larry) Clevenger  Lawrence A. (Larry) Clevenger photo         

contact information

STSM BEOL Architect, Member IBM Academy of Technology, IBM Lifetime Master Inventor, IBM CSC Morocco9
Albany, NY
  +1dash914dash475dash3517

links

Professional Associations

Professional Associations:  IEEE Rebooting Computing

more information

More information:  IBM Academy of Technology


2017

Layout based Monte-Carlo simulation (LBMCS) for complex back end of line (BEOL) design rule study
Shao, Dongbing and Clevenger, LA and Chen, Shyng-Tsong and Wong, Robert
Interconnect Technology Conference (IITC), 2017 IEEE International, pp. 1--3
Abstract


2016

A novel analytical capacitance model for sub-10 nm interconnects
Seshadri, Indira and Huang, Huai and Kerber, Pranita and Chen, James and Clevenger, Larry
Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), 2016 IEEE International, pp. 141--143
Abstract

CMOS compatible MIM decoupling capacitor with reliable sub-nm EOT high-k stacks for the 7 nm node and beyond
Ando, T and Cartier, E and Jamison, P and Pyzyna, A and Kim, S and Bruley, J and Chung, K and Shobha, H and Estrada-Raygoza, I and Tang, H and others
Electron Devices Meeting (IEDM), 2016 IEEE International, pp. 9--4
Abstract

Integrated layout based Monte-Carlo simulation for design arc optimization
Shao, Dongbing and Clevenger, Larry and Zhuang, Lei and Liebmann, Lars and Wong, Robert and Culp, James
SPIE Advanced Lithography, pp. 978106--978106, 2016
Abstract


2015

A study of BEOL resistance mismatch in double patterning process
Yao, Shaoning and Clevenger, Larry and Zamdmer, Noah
Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), 2015 IEEE International, pp. 147--150
Abstract


2014

High performance 14nm SOI FinFET CMOS technology with 0.0174 $\mu$m 2 embedded DRAM and 15 levels of Cu metallization
Lin, CH and Greene, B and Narasimha, S and Cai, J and Bryant, A and Radens, C and Narayanan, V and Linder, B and Ho, H and Aiyar, A and others
Electron Devices Meeting (IEDM), 2014 IEEE International, pp. 3--8
Abstract


2012

Electromigration in cu (Al) and cu (Mn) damascene lines
Hu, C-K and Ohm, J and Gignac, LM and Breslin, CM and Mittal, S and Bonilla, G and Edelstein, D and Rosenberg, R and Choi, S and An, JJ and others
Journal of applied physics 111(9), 093722, AIP, 2012
Abstract

56 nm pitch copper dual-damascene interconnects with triple pitch split metal and double pitch split via
Chen, James Hsueh-Chung and Waskiewicz, Christopher and Fan, Susan Su-Chen and Halle, Scott and Koay, Chiew-seng and Xu, Yongan and Saulnier, Nicole and Tseng, Chia-Hsun and Yin, Yunpeng and Mignot, Yann and others
Interconnect Technology Conference (IITC), 2012 IEEE International, pp. 1--3
Abstract

Competitive and cost effective copper/low-k interconnect (BEOL) for 28nm CMOS technologies
Augur, R and Child, C and Ahn, JH and Tang, TJ and Clevenger, L and Kioussis, D and Masuda, H and Srivastava, R and Oda, Y and Oguma, H and others
Microelectronic Engineering92, 42--44, Elsevier Science Ltd., 2012
Abstract


2011

Optimization of porous ultra low-$\kappa$ dielectrics ($\kappa$≤ 2.55) for 28nm generation
Kioussis, D and Ryan, ET and Madan, A and Klymko, N and Molis, S and Sun, Z and Masuda, H and Liang, S and Lee, T and Restaino, D and others
Interconnect Technology Conference and 2011 Materials for Advanced Metallization (IITC/MAM), 2011 IEEE International, pp. 1--3
Abstract


2010

High reliability 32 nm Cu/ULK BEOL based on PVD CuMn seed, and its extendibility
Nogami, T and Bolom, T and Simon, A and Kim, BY and Hu, CK and Tsumura, K and Madan, A and Baumann, F and Wang, Y and Flaitz, P and others
Electron Devices Meeting (IEDM), 2010 IEEE International, pp. 33--5
Abstract


2008

Self-assembly based air-gap integration
Shom Ponoth, Dave Horak, Satya Nitta, Matthew Colburn, Greg Breyta, Elbert Huang, Jeanne Tania Sucharitaves, Howard Landis, Anthony Lisi, Xiao Liu, others
Meeting Abstracts, pp. 2074--2074, 2008


2006

Electromigration in sub-micron Copper Interconnects in Low-k Dielectrics
Agarwala, Birendra and Chanda, Kaushik and Rathore, HS and Nguyen, Du and Hu, Chao-Kun and Mclaughlin, Paul and Demarest, James and Clevenger, Lawrence and Yang, Chih-Chao
ECS Transactions 1(11), 77--91, The Electrochemical Society, 2006
Abstract

A 45 nm CMOS node Cu/Low-k/Ultra Low-k PECVD SiCOH (k= 2.4) BEOL technology
S Sankaran, S Arai, R Augur, M Beck, G Biery, T Bolom, G Bonilla, O Bravo, K Chanda, M Chae, others
Electron Devices Meeting, 2006. IEDM'06. International, pp. 1--4


2004

Chip-to-package interaction for a 90 nm Cu/PECVD low-k technology
W Landers, D Edelstein, L Clevenger, C Das, C C Yang, T Aoki, F Beaulieu, J Casey, A Cowley, M Cullinan, others
Interconnect Technology Conference, 2004, pp. 108--110

Reliability, yield, and performance of a 90 nm SOI/Cu/SiCOH technology
D Edelstein, C Davis, L Clevenger, M Yoon, A Cowley, T Nogami, H Rathore, B Agarwala, S Arai, A Carbone, others
Interconnect Technology Conference, 2004, pp. 214--216

Comprehensive reliability evaluation of a 90 nm CMOS technology with Cu/PECVD low-k BEOL
D Edelstein, H Rathore, C Davis, L Clevenger, A Cowley, T Nogami, B Agarwala, S Arai, A Carbone, K Chanda, others
Reliability Physics Symposium Proceedings, 2004, pp. 316--319

Measurements of effective thermal conductivity for advanced interconnect structures with various composite low-k dielectrics
F Chen, J Gill, D Harmon, T Sullivan, B Li, A Strong, H Rathore, D Edelstein, C C Yang, A Cowley, others
2004 IEEE International Reliability Physics Symposium Proceedings, 2004, pp. 68--73


2002

Gate stack and silicide issues in silicon processing II: symposium held April 17-19, 2001, San Francisco, California, USA
Campbell, SA
2002 - Materials Research Society, Materials Research Society

Gate Stack and Silicide Issues in Silicon
Campbell, SA and Clevenger, LA and Griffin, PB and Hobbs, CC
2002 - Materials Research Society, Materials Research Society

Integration of high-performance, low-leakage and mixed signal features into a 100 nm CMOS technology
Schafbauer, Thomas and Brighten, James and Chen, Yi-Cheng and Clevenger, Larry and Commons, Martin and Cowley, Andy and Esmark, Kai and Grassmann, Andreas and Hodel, Uwe and Huang, Hsiang-Jen and others
VLSI Technology, 2002. Digest of Technical Papers. 2002 Symposium on, pp. 62--63
Abstract


2001

W/WN/Poly gate implementation for sub-130 nm vertical cell DRAM
Malik, R and Clevenger, L and McStay, I and Gluschenkov, O and Robl, W and Shafer, P and Stojakovic, G and Yan, W and Naeem, M and Ramachandran, R and others
VLSI Technology, 2001. Digest of Technical Papers. 2001 Symposium on, pp. 31--32
Abstract


2000

Structural and Chemical Characterization of Tungsten Gate Stack for 1 Gb Dram
Gluschenkov, O and Benedict, J and Clevenger, LA and DeHaven, P and Dziobkowski, C and Faltermeier, J and Lin, C and McStay, I and Wong, K
MRS Online Proceedings Library Archive611, Cambridge University Press, 2000
Abstract

Comparison of Al and Cu Metallizations for Dual Damascene Applications LA Clevenger*, SG Malhotra**, AH Simon**, R. Iggirlden*, and SJ Weber**** IBM Microelectronics, DRAM Development Alliance, Hopewell Junction, NY 12533** IBM Microelectronics Division,
Clevenger, LA
Advanced Metallization Conference 1999 (AMC 1999): proceedings of the conference held September 28-30, 1999, in Orlando, Florida, sponsored by Continuing Education in Engineering, University Extension, University of California at Berkeley, California, USA, pp. 17, 2000

The microstructure of submicrometer wide planar-reactive ion etched versus trench-damascene AlCu lines
Rodbell, KP and Gignac, LM and Hurd, JL and Filippi, R and Wang, Yun-Yu and Clevenger, LA and Iggulden, RC and Schnabel, RF and Weber, S
Journal of Applied Physics 88(9), 5093--5099, AIP, 2000
Abstract

Aluminum dual damascene metallization for 0.175 $\mu$m DRAM generations and beyond
Schnabel, RF and Clevenger, LA and Costrini, G and Dobuzinsky, DM and Filippi, R and Gambino, J and Lee, GY and Iggulden, RC and Lin, C and Lu, ZG and others
Microelectronic engineering 50(1), 265--270, Elsevier, 2000
Abstract

A 0.135 um2 6F2 trench-sidewall vertical device cell for 4 Gb/16 Gb DRAM
Radens, CJ and Gruening, U and Mandelman, JA and Seitz, M and Lea, D and Casarotto, D and Clevenger, L and Nesbit, L and Malik, R and Halle, S and others
VLSI Technology, 2000. Digest of Technical Papers. 2000 Symposium on, pp. 80--81
Abstract


1999

Al deposition temperature process window for 0.20/spl mu/m Al RIE interconnections
Clevenger, LA and Honda, M and Ravikumar, R and Stojakovic, G
Interconnect Technology, 1999. IEEE International Conference, pp. 29--31
Abstract

Cleans for Al vias in a 0.175/spl mu/m dual damascene process
Gambino, J and Clevenger, L and Costrini, G and Schnabel, F and Ravikumar, R and Dobuzinsky, D and Iggulden, R and Dziobkowski, C and Wildman, H and Benedict, J and others
Interconnect Technology, 1999. IEEE International Conference, pp. 206--208
Abstract


1998

CRYSTALLOGRAPHIC TEXTURE AND PHASE FORMATION IN BLANKET TifriN/AICu FILMS PW DeHaven, IBM Analytical Services, Hopewell Junction, NY LA Clevenger*, RF Schnabe**, SJ Weber**, and RC Iggulden*, DRAM Development Alliance, Hopewell Junction, NY,* IBM Microele
Clevenger, LA
Advanced interconnects and contact materials and processes for future integrated circuits: symposium held April 13-16, 1998, San Francisco, California, USA, pp. 105
Abstract

Linewidth and underlayer influence on texture in submicrometer-wide Al and AlCu lines
Hurd, JL and Rodbell, KP and Gignac, LM and Clevenger, LA and Iggulden, RC and Schnabel, RF and Weber, SJ and Schmidt, NH
Applied physics letters 72(3), 326--328, AIP, 1998
Abstract

Temperature dependence of the Al-fill processes for submicron-via structures
Weber, SJ and Iggulden, RC and Schnabel, RF and Weigand, P and Restaino, DD and Brodsky, SB and Mehter, EA and Clevenger, LA
Thin solid films 320(1), 63--66, Elsevier, 1998
Abstract

Dual damascene aluminum for 1-Gbit DRAMs, part 3
Iggulden, Roy and Clevenger, Larry and Costrini, Greg and Dobuzinsky, David and Filippi, Ronald and Gambino, Jeff and Lin, Chenting and Schnabel, Florian and Weber, Stefan and Gignac, Lynne and others
Solid state technology 41(11), PennWell Publishing Corp., 1998
Abstract

A novel low temperature CVD/PVD Al filling process for producing highly reliable 0.175/spl mu/m wiring/0.35/spl mu/m pitch dual damascene interconnections in gigabit scale DRAMs
Clevenger, LA and Costrini, G and Dobuzinsky, DM and Filippi, R and Gambino, J and Hoinkis, M and Gignac, L and Hurd, JL and Iggulden, RC and Lin, C and others
Interconnect Technology Conference, 1998. Proceedings of the IEEE 1998 International, pp. 137--139
Abstract


1997

Thin-film interactions between Ti, TiN, and Al during high-temperature Al reflow for 1 Gb DRAM interconnects
Gignac, LM and Rodbell, KP and Clevenger, L
Advanced Metallization and Interconnect Systems for ULSI Applications in 1997, 79--85

Lowering the formation temperature of the C54-TiSi 2 phase using a metallic interfacial layer
Cabral, C and Clevenger, LA and Harper, JME and d'Heurle, FM and Roy, RA and Saenger, KL and Miles, GL and Mann, RW
Journal of materials research 12(2), 304--307, Cambridge University Press, 1997
Abstract

Crystallographic texture of C54 titanium disilicide as a function of deep submicron structure geometry
V Svilan, KP Rodbell, LA Clevenger, C Cabral, RA Roy, C Lavoie, J Jordan-Sweet, J M E Harper
Journal of Electronic Materials 26(9), 1090--1095, Springer, 1997


1996

In-Situ x-Ray Diffraction Analysis of TiSi 2 Phase Formation from a Titanium-Molybdenum Bilayer
Cabral, C and Clevenger, LA and Harper, JME and Roy, RA and Saenger, KL and Miles, GL and Mann, RW
MRS Online Proceedings Library Archive441, Cambridge University Press, 1996
Abstract

Dependence of Crystallographic Texture of C54 Tisi 2 on Thickness and Linewidth In Submicron Cmos Structures
Svilan, V and Rodbell, KP and Clevenger, LA and Cabral, C and Roy, RA and Lavoie, C and Jordan-Sweet, J and Harper, JME
MRS Online Proceedings Library Archive427, Cambridge University Press, 1996
Abstract

Surface Roughening During Titanium Silicide Formation: a Comparison Between Si (100) and Poly-Si Substrates
Lavoie, C and Martel, R and Cabral, C and Clevenger, LA and Harper, JME
MRS Online Proceedings Library Archive440, Cambridge University Press, 1996
Abstract


1995

A kinetic study of the C49 to C54 TiSi2 conversion using electrical resistivity measurements on single narrow lines
Saenger, KL and Cabral Jr, C and Clevenger, LA and Roy, RA and Wind, S
Journal of applied physics 78(12), 7040--7044, AIP, 1995
Abstract

Observation of Crystallization, Precipitation, and Phase Transformation Phenomena in Si Rich Titanium Silicide Thin Films
Domenicucci, A and Gifford, G and Clevenger, LA
MRS Online Proceedings Library Archive398, Cambridge University Press, 1995
Abstract

Reduction of the C54-TiSi 2 Phase Formation Temperature Using Metallic Impurities
Mann, RW and Clevenger, LA and Miles, GL and Harper, JME and Cabral, C and D'Heurle, FM and Knotts, TA and Rakowski, DW
MRS Online Proceedings Library Archive402, Cambridge University Press, 1995
Abstract

Insitu x-ray diffraction analysis of the C49--C54 titanium silicide phase transformation in narrow lines
Roy, RA and Clevenger, LA and Cabral Jr, C and Saenger, KL and Brauer, S and Jordan-Sweet, J and Bucchignano, J and Stephenson, GB and Morales, G and Ludwig Jr, KF
Applied Physics Letters 66(14), 1732--1734, AIP Publishing, 1995
Abstract

A comparison of C54-TiSi 2 formation in blanket and submicron gate structures using in situ x-ray diffraction during rapid thermal annealing
Clevenger, LA and Roy, RA and Cabral, C and Saenger, KL and Brauer, S and Morales, G and Ludwig, KF and Gifford, G and Bucchignano, J and Jordan-Sweet, J and others
Journal of materials research 10(9), 2355--2359, Cambridge University Press, 1995
Abstract

Reduction of the C54--TiSi2 phase transformation temperature using refractory metal ion implantation
Mann, RW and Miles, GL and Knotts, TA and Rakowski, DW and Clevenger, LA and Harper, JME and d’Heurle, FM and Cabral Jr, C
Applied Physics Letters 67(25), 3729--3731, AIP, 1995
Abstract

Light Scattering Measurement Of Surface Topography During Formation Of Titanium Silicide.
Lavoie, C and Cabral, C and Clevenger, LA and Harper, JME and Jordan-Sweet, J and Saenger, KL and Doany, F
MRS Online Proceedings Library Archive406, Cambridge University Press, 1995
Abstract

Silicides and local interconnections for high-performance VLSI applications
Mann, Randy W and Clevenger, Larry A and Agnello, Paul D and White, Francis R
IBM Journal of Research and Development 39(4), 403--417, IBM, 1995
Abstract

In Situ Analysis of the Formation of thin TISI 2,(> 50 nm) Contacts in Submicron Cmos Structures during Rapid Thermal Annealing
Clevenger, LA and Cabral, C and Roy, RA and Lavoie, C and Viswanathan, R and Saenger, KL and Jordan-Sweet, J and Morales, G and Ludwig, KL and Stephenson, GB
MRS Online Proceedings Library Archive402, Cambridge University Press, 1995
Abstract

In-Situ Resistance Measurements During Rapid Thermal Annealing for Process Characterization
EG Colgan, C Cabral, LA Clevenger, JME Harper
MATERIALS RESEARCH SOCIETY SYMPOSIUM PROCEEDINGS, pp. 49--54, 1995

In situ x-ray diffraction analysis of the C49--C54 titanium silicide phase transformation in narrow lines
Roy, RA and Clevenger, LA and Cabral Jr, C and Saenger, KL and Brauer, S and Jordan-Sweet, J and Bucchignano, J and Stephenson, GB and Morales, G and Ludwig Jr, KF
Applied physics letters 66(14), 1732--1734, AIP, 1995
Abstract


1994

Stress Evolution During the Formation and Transformation of Titanium Silicide
Svilan, V and Harper, JME and Cahral, C and Clevengeri, LA
MRS Online Proceedings Library Archive356, Cambridge University Press, 1994
Abstract

Repeated compressive stress increase with 400° C thermal cycling in tantalum thin films due to increases in the oxygen content
Cabral Jr, C and Clevenger, LA and Schad, RG
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena 12(4), 2818--2821, AVS, 1994
Abstract

Study of C49-TiSi2 and C54-TiSi2 formation on doped polycrystalline silicon using in situ resistance measurements during annealing
Clevenger, LA and Mann, RW and Roy, RA and Saenger, KL and Cabral Jr, C and Piccirillo, J
Journal of Applied Physics 76(12), 7874--7881, AIP, 1994
Abstract

Intermetallic formation in copper/magnesium thin films—kinetics, nucleation and growth, and effect of interfacial oxygen
Arcot, B and Murarka, SP and Clevenger, LA and Hong, QZ and Ziegler, W and Harper, JME
Journal of applied physics 76(9), 5161--5170, AIP, 1994
Abstract

The C49 to C54 phase transformation in TiSi2 thin films
Mann, RW and Clevenger, LA
Journal of The Electrochemical Society 141(5), 1347--1350, The Electrochemical Society, 1994
Abstract



1993

Crystallization of coevaporated and ion-irradiated amorphous CoSi2
Hong, QZ and Barmak, K and Hong, Stella Q and Clevenger, LA
Journal of applied physics 74(8), 4958--4962, AIP, 1993
Abstract

The C49 to C54-TiSi2 transformation in self-aligned silicide applications
Mann, RW and Clevenger, LA and Hong, QZ
Journal of applied physics 73(7), 3566--3568, AIP, 1993
Abstract

Phase transformation kinetics of TiSi 2
Mann, RR and Clevenger, LL and Hong, QQ
MRS Online Proceedings Library Archive311, Cambridge University Press, 1993
Abstract

Titanium Silicides and Their Technological Applications
Clevenger, LA and Mann, RW
MRS Online Proceedings Library Archive320, Cambridge University Press, 1993
Abstract

Compressive stress increase with repeated thermal cycling in tantalum (oxygen) thin films
C Cabral Jr, LA Clevenger, RG Schad
Thin Films: Stresses and Mechanical Properties IV: Symposium Held April 12-16, 1993, San Francisco, California, USA, pp. 57


1992

Composition Dependence of Crystallization of Co-Si Alloys
Hong, QZ and Barmak, K and Clevenger, LA
MRS Online Proceedings Library Archive260, Cambridge University Press, 1992
Abstract

Kinetic analysis of C49-TiSi2 and C54-TiSi2 formation at rapid thermal annealing rates
Clevenger, LA and Harper, JME and Cabral Jr, C and Nobili, C and Ottaviani, G and Mann, R
Journal of applied physics 72(10), 4978--4980, AIP, 1992
Abstract

Crystallization of amorphous Co-Si alloys
Hong, QZ and Barmak, K and Clevenger, LA
Journal of applied physics 72(8), 3423--3430, AIP, 1992
Abstract

Nucleation of an intermetallic at thin-film interfaces: VSi 2 contrasted with Al 3 Ni
Ma, E and Clevenger, LA and Thompson, CV
Journal of materials research 7(6), 1350--1355, Cambridge University Press, 1992
Abstract


1991

Effect of Deposition Conditions on Intrinsic Stress, Phase Transformation and Stress Relaxation in Tantalum Thin Films
Mutscheller, A and Clevenger, LA and Harper, JME and Cabrai, C and Barmakt, K
MRS Online Proceedings Library Archive239, Cambridge University Press, 1991
Abstract


1990

Self-propagating explosive reactions in Al/Ni multilayer thin films
Ma, E and Thompson, CV and Clevenger, LA and Tu, KN
Applied physics letters 57(12), 1262--1264, AIP, 1990
Abstract

Explosive silicidation in nickel/amorphous-silicon multilayer thin films
Clevenger, LA and Thompson, CV and Tu, KN
Journal of Applied Physics 67(6), 2894--2898, AIP, 1990
Abstract


1989

Microstructural Aspects of Nickel Silicide Formation in Evaporated Nickel-Silicon Multilayer Thin Films
Holloway, Karen and Clevenger, Larry
MRS Online Proceedings Library Archive159, Cambridge University Press, 1989
Abstract


1988

Reaction kinetics of nickel/silicon multilayer films
Clevenger, LA and Thompson, CV and Cammarata, RC and Tu, KN
Applied physics letters 52(10), 795--797, AIP, 1988
Abstract


1987

The Effect of Layer Thickness on the Reaction Kinetics of Nickel/Silicon Multilayer Films
Clevenger, LA and Thompson, CV and Cammarata, RC and Tu, KN
MRS Online Proceedings Library Archive103, Cambridge University Press, 1987
Abstract


1969

Some Uses of Computers in Rhetoric and Public Address.
Clevenger Jr, Theodore
Speech Journal5, 29--33, ERIC, 1969
Abstract


Year Unknown

VLSl applications
Mann, RW and Clevenger, LA and Agnello, PD and White, FR
stanford.edu, 0
Abstract

RW MANN*, LA CLEVENGER** and QZ HONG*** IBM Technology Products, Essex Junction, VT 05452
TiSi, PHASE TRANSFORMATION KINETICS OF
cambridge.org, 0
Abstract

V. Svilan,* JME 1larper, C. Cabral, Jr. and LA Clevenger, IBM Thomas. 1. Watson Research Center, Yorktown tteights, NY 10598* Massachusetts Institute of Technology, Cambridge, MA 02139
DURING, STRESS EVOLUTION and SILICIDE, TITANIUM
cambridge.org, 0
Abstract

IBM J. Res. Dev.
EA Giess, RL Sandstrom, WJ Gallagher, A Gupta, SL …
EA Giess, RL Sandstrom, WJ Gallagher, A Gupta, SL …, 0